GitHub ‧ Trending Verilog Today
订阅

近期历史最近 100 条记录

2024-04-17 navaneethans / VLSI-LAB-EXP-5
2024-04-16 Practical-UVM-Step-By-Step / Practical-UVM-IEEE-Edition 41
2024-04-16 Obijuan / open-fpga-verilog-tutorial 745
2024-04-08 splinedrive / KianV-RV32IMA-RISC-V-uLinux-SoC
2024-04-07 ishi-kai / Chipathon2023_ADC
2024-04-03 chaimleib / UARTecho
2024-04-02 RESMIRNAIR / SR_FLIPFLOP
2024-04-02 RESMIRNAIR / JK_FLIPFLOP
2024-04-01 rejunity / tiny-asic-1_58bit-matrix-mul 30
2024-04-01 vertrex / Arcade-JujuDensetsu_MiSTer 15
2024-03-31 navaneethans / VLSI-LAB-EXP-4
2024-03-30 navaneethans / VLSI-LAB-EXP-3
2024-03-28 navaneethans / VLSI-LAB-EXP-2
2024-03-28 TopGun-DICD / hdldesign
2024-03-26 algofoogle / raybox-zero 19
2023-09-04 STARS-Design-Track-2023 / Caravel_STARS_2023 2
2023-09-03 sure-trust / G9_VLSI
2023-09-03 leifengrui / FPGA_competition 16
2023-09-03 MiSTer-devel / MegaDrive_MiSTer 22
2023-09-01 nand2mario / usb_hid_host 59
2023-08-31 ZoroGH / RiscV-CPU
2023-08-28 Nitcloud / Digital-IDE 243
2023-08-27 HIT-MaRiver-mips / cpucore-mariver 17
2023-08-27 Digital-EDA / Digital-IDE 248
2023-08-27 sergeykhbr / riscv_vhdl 528
2023-08-13 jotape04 / projeto-infrahard
2023-08-06 efabless / openlane-ci-designs
2023-08-05 trailofbits / sholva 2
2023-08-02 jge162 / ScoreBoard-wTimer 60
2023-07-31 OSCPU / yosys-sta 26
2023-07-28 moonjayden / 430.315a 1
2023-07-28 ekknod / pcileech-wifi 132
2023-07-20 maxmiaggi / city-traffic-control
2023-07-14 gtjennings1 / HyperBUS 49
2023-07-13 T-head-Semi / openc906 232
2023-07-13 linuxbest / lzs 11
2023-07-13 T-head-Semi / opene902 104
2023-07-10 WangXuan95 / FPGA-USB-Device 438
2023-07-10 cucapra / filament 115
2023-07-07 wuxx / Colorlight-FPGA-Projects 192
2023-07-05 freecores / dvb_s2_ldpc_decoder 21
2023-07-04 ika-musume / IKAOPM 42
2023-07-03 STARS-Design-Track-2023 / OpenLane-flow
2023-07-02 risclite / R8051 116
2023-06-28 nukeykt / Nuked-OPN2-FPGA 8
2023-06-26 nukeykt / Nuked-MD-FPGA 256
2023-06-23 Jacob-Chappell / mersenne-twister-prng 1
2023-06-21 somhi / FlappyBird
2023-06-09 Omid-Zahed / 8-bit-computer 16
2023-06-09 chipsalliance / VeeRwolf 215
2023-06-07 bol-edu / fsic_fpga
2023-06-03 ulixxe / usb_cdc 107
2023-05-31 WangXuan95 / FPGA-Gzip-compressor 23
2023-05-26 I-Doctor / RTL_library_of_basic_hardware_units 3
2023-05-23 Obs01ete / tof-driver 24
2023-05-23 lawrie / fpga_pio 227
2023-05-22 imocanu / riscv-ml 8
2023-05-21 cepdnaclk / e17-4yp-Neuromorphic-NoC-Architecture-for-SNNs 1
2023-05-21 jjejdhhd / License-Plate-Recognition-FPGA 13
2023-05-20 va7deo / MegaSys1_A 12
2023-05-15 Misaka-N / TJCS-SingleCircleCPU31 25
2023-05-15 f-of-e / gb3-resources
2023-05-14 KASIRGA-KIZIL / tekno-kizil 119
2023-05-12 benipoo / yosys-docker 286
2023-04-08 shinyquagsire23 / wii_u_modchip 45
2023-04-06 muneeb-mbytes / edge_spartan6
2023-04-04 secworks / aes 306
2023-03-25 furrtek / VGChips 114
2023-03-22 mcleod-ideafix / divtiesus 6
2023-03-19 Forty-Bot / ethernet 55
2023-03-18 Hank0626 / FPGA-Game-Design 23
2023-03-11 efabless / openlane2 6
2023-03-11 ZipCPU / eth10g 60
2023-03-03 bol-edu / caravel-soc 6
2023-03-03 alexbudy / mips_processor
2023-02-28 thewonderidiot / lvdc_simulation 1
2023-02-20 osresearch / pixel-wrangler 79
2023-02-18 byuccl / bfasst 4
2023-02-12 hellamps / 3dparty-opencores-sdr-ctrl
2023-02-10 brilliantlabsAR / monocle-fpga 12
2023-02-09 karlshi419 / Overclocking 2
2023-02-09 black-parrot-hdk / zynq-parrot 12
2023-02-07 Ummidichandrika / 100-Days-of-RTL 75
2023-02-06 sfmth / OpenSpike 57
2023-02-02 cse140l-wi23 / lab1_starter
2023-02-02 cornell-ece5745 / ece5745-tut4-asic-tools
2023-01-31 EECS150 / fpga_labs_sp23
2023-01-30 DoniaGameel / verilog-multipliers-with-synthesis-and-routing 4
2023-01-27 jeff-grindel / VLSI_Adder 2
2023-01-24 niklasekstrom / clockport_pi_interface 30
2023-01-12 sheldonucr / ucr-eecs168-lab 468
2023-01-06 lnis-uofu / SOFA 100
2023-01-04 MiSTer-devel / Arcade-MrJong_MiSTer
2023-01-01 epsilon537 / boxlambda 14
2023-01-01 pthalin / video_lag_tester 14
2022-12-28 steveicarus / ivtest 113
2022-12-28 crboth / LDPC_Decoder 10
2022-12-28 rvem / itmo-comp-arch-2022 5
2022-12-28 MiSTer-devel / Arcade-SlapFight_MiSTer 4
2022-12-27 randyrossi / vicii-kawari 135

匿名用户只展示最新 100 条榜单历史,更多历史数据请登录后查看,支持时光机按天筛选

Sponsors

今日解忧 - 赛博修行,舒缓静心,21世纪解压神器!
今日历 - 全球最全的日历,日历届的航空母舰!
百晓生AI - 全能创作助手

猜你喜欢